CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - iic vhdl

搜索资源列表

  1. i2c_control

    0下载:
  2. 本文件是iic总线控制器的vhdl语言的源代码程序-2005/09 Bus Controller VHDL language source code procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7559
    • 提供者:王立华
  1. i2c_p_altera

    0下载:
  2. IIc总线的源代码(vhdl语言),大家共享一下
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1582893
    • 提供者:侯典华
  1. iic_bus_example

    0下载:
  2. 使用vhdl构建的iic总线,对应与fpga的硬件开发平台
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:217023
    • 提供者:惠普
  1. i2c_master_bit_ctrl

    0下载:
  2. 用VHDL硬件语言实现的iic顶层控制程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3820
    • 提供者:bird
  1. iic_vhdl

    0下载:
  2. iic总线控制器VHDL实现 -- VHDL Source Files: i2c.vhd -- top level file i2c_control.vhd -- control function for the I2C master/slave shift.vhd -- shift register uc_interface.vhd -- uC interface function for an 8-bit 68000-like uC u
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:889991
    • 提供者:benny
  1. i2c_cores

    0下载:
  2. IIC总线协议,VHDL语言编写,可以直接使用-IIC bus protocol, VHDL language can be used directly
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:21087
    • 提供者:李无志
  1. writrend

    0下载:
  2. 用VHDL语言写的IIC读写程序,已经编译过,不错-VHDL language used to write the IIC to read and write procedures, has been compiled, it is true
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3152
    • 提供者:小强
  1. f2812I2C_EEPROM

    0下载:
  2. 用VHDL语言实现扩展IIC接口的功能,-VHDL language used to achieve the expansion of IIC interface functions,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2250
    • 提供者:mpfei
  1. baudgen_latest.tar

    0下载:
  2. 波特率发生器的VHDL源码。适用于uart、spi、IIC-Baud rate generator VHDL source code. Apply to uart, spi, IIC
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:427486
    • 提供者:pyu
  1. write

    0下载:
  2. VHDL语言编写的IIC总线通信的写操作的底层程序-VHDL language of the IIC bus communication of the write operation of the underlying process
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:523
    • 提供者:何美枝
  1. example

    0下载:
  2. 我FPGA开发板的程序!!!包括数、码管iic、VGA、乘法器、串口。加法器、比较器、状态机等等等了,主要是VHDL的也有部分好似Verilog的。参考下吧-verilog...vga..uart...add...etc..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6266775
    • 提供者:地主
  1. I2C

    0下载:
  2. 一种IIC的vhdl实现,包含相关sourcecode和协议文档,学习verilog hdl的好资料。-A kind of IIC' s vhdl implementation, the agreement contains the relevant sourcecode and documentation, learning verilog hdl good information.
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:38487
    • 提供者:cabrave
  1. IICComponent

    0下载:
  2. IIC的vhdl实现,用ISE12.1建的项目,读取eeprom的接口代码-using FPGA to communicate with the EEPROM through IIC connector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:652975
    • 提供者:一笑
  1. oc_i2c_master

    0下载:
  2. IIC总线的控制VHDL源代码以及说明,在Quartus2中能够生成对应的IP核-the IIC bus control VHDL source code and ,Quartus2 can create the corresponding IP in nuclear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:207434
    • 提供者:陶文辉
  1. Altera_I2C_example

    0下载:
  2. IIC VHDL代码。标准的IIC 总线协议(VHDL)-IIC VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2523558
    • 提供者:otto
  1. vhdl

    0下载:
  2. IIC源码VHDL文件。包括IIC master端的控制器实现及仿真文件。-IIC of VHDL source。Including IIC master controller implement and testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:16824
    • 提供者:daneil
  1. IIC-bus-communication

    0下载:
  2. IIC通信的VHDL实现,含有IIC的通信标准,能正常使用-IIC communication bus program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:310518
    • 提供者:胡兴亮
  1. iic

    0下载:
  2. 用vhdl实现iir总线仿真 对于vhdl初学者很有帮助-Iir bus simulation using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:13691
    • 提供者:lauile
  1. iic-BUS

    0下载:
  2. I2C/IIC 总线接口驱动,在Altera的FPGA上跑过,VHDL编写-I2C/IIC bus interface driver, running over the FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:174473
    • 提供者:lorry
  1. I2CHDL

    0下载:
  2. IIc时序逻辑的VHDL源代码,便于时序的调试(VHDL source code of IIc time series logic, easy to debug time series)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:11264
    • 提供者:zhanglei123456
« 1 2 34 »
搜珍网 www.dssz.com